cocotb

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
Current version: 1.7.1

History

Effective Date Table ID Version Download URL Project URL Version URL
2024-08-26 12 1.7.1 download project version